Macro aes::soft::fixslice::define_mix_columns

source ·
macro_rules! define_mix_columns {
    (
        $name:ident,
        $name_inv:ident,
        $first_rotate:path,
        $second_rotate:path
    ) => { ... };
}
Expand description

Computation of the MixColumns transformation in the fixsliced representation, with different rotations used according to the round number mod 4.

Based on Käsper-Schwabe, similar to https://github.com/Ko-/aes-armcortexm.