Function aes::soft::fixslice::add_round_key

source ยท
fn add_round_key(state: &mut [u64; 8], rkey: &[u64])
Expand description

XOR the round key to the internal state. The round keys are expected to be pre-computed and to be packed in the fixsliced representation.